CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - vhdl 数码管

搜索资源列表

  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. 交通灯VHDL

    1下载:
  2. 相关知识 本实验要设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥双方向车辆通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 路*通灯控制系统的有东西路和南北路交通灯 R(红)、Y(黄)、G(绿)三色,所有灯均为高电平点亮。设置20s 的通行时间和5s 转换时间的变模定时电路,用数码管显示剩余时间。提供系统正常工作/复位和紧急情况两种工作模式。
  3. 所属分类:文档资料

  1. lock.zip.zip

    0下载:
  2. 基于VHDL电子密码锁设计,数码管显示,比较有用的毕业设计,大家可以参考一下,VHDL-based design of electronic locks, digital display, more useful for the design of the graduation, we can refer to
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:156013
    • 提供者:孙晓林
  1. vhdprograme

    0下载:
  2. 用vhdl做得CPLD静态两位数码管扫描 显示“10”两位数码管公用段选-CPLD with VHDL done two static scan digital tube displays
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:248240
    • 提供者:李一倬
  1. VHDL

    0下载:
  2. eda课程,包括数码管显示,可变步长计数器的编写-eda courses, including digital display, variable-step preparation of counter
  3. 所属分类:File Formats

    • 发布日期:2017-04-27
    • 文件大小:384731
    • 提供者:lxc
  1. fpgada0832

    0下载:
  2. 该波形发生器以单片机(MCS8031)为中心控制单元,由键盘输入模块、数码管显示模块、D/A波形发生模块dac0832、幅值调整模块组成。采用DDFS技术,先将要求的波形数据存储于EEPROM中,这样可以保证掉电以后波形数据不丢失。-The waveform generator to single-chip microcomputer (MCS8031) as the central control unit, by the keyboard input module, digital tube
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:171926
    • 提供者:litong
  1. Design_of_multi-functional_sports_stopwatch

    0下载:
  2. 设计一个可以顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。用VHDL语言-Designed to be a cis-timing and countdown stopwatch. Required time ranges from 00.0S ~ 99.9S, with three digital tube display.
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:234856
    • 提供者:林文
  1. shumaguan

    0下载:
  2. 数码管的实现,用VHDL编写的,绝对正确,直接可以使用的程序-Implementation of digital control
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:269244
    • 提供者:zhuqiong
  1. VHDL-ALARM

    1下载:
  2. 要求设计一个带闹钟功能的24小时计时器 它包括以下几个组成部分: ① 显示屏:4个七段数码管显示当前时间(时:分)或设置的闹钟时间;一个发光二极管以1HZ的频率跳动,用于显示秒; ② 按键key1,用于设置调时还是调分; ③ 按键key2,用于输入新的时间或新的闹钟时间,每按下一次,时或分加1; ④ TIME(时间)键,用于确定新的时间设置; ⑤ ALARM(闹钟)键,用于确定新的闹钟时间设置,或显示已设置的闹钟时间; ⑥ 扬声器,在当前时钟时间与
  3. 所属分类:软件工程

    • 发布日期:2017-03-26
    • 文件大小:259321
    • 提供者:洪巨成
  1. vhdl-7Nixie-tube

    0下载:
  2. vhdl 七段数码管代码 可以把代码转换成可以在七段数码管上显示的代码-Seven-Segment LED vhdl code into the code can be displayed in seven sections of the code on the digital
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:3723
    • 提供者:zhaohong
  1. xiyiji

    1下载:
  2. )设计一个洗衣机控制器,使洗衣机作如下运转:定时启动—〉正转20秒—〉暂停10秒—〉反转20秒—〉暂停10秒—〉定时不到,重复上面过程。 (2)若定时到,则停止,并发出音响信号。 (3)用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由开始信号开始。 (4)三只LED灯表示正转、反转、暂停三个状态。 设计的主要要求是: (1)根据设计题目要求编写相应程序代码 (2)对编写的VHDL程序代码进行编译和仿真 (3)利用实
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:205605
    • 提供者:杨沐晖
  1. clock1

    0下载:
  2. 用VHDL编程实现在数码管上动态显示时间 功能有整点报时 自动设置时间-VHDL programming in the dynamic display of the time function of the whole point of time is automatically set time on digital tube
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:851777
    • 提供者:Individual
  1. freq

    0下载:
  2. vhdl八位十进制数字频率计的设计,顶层和数码管扫描模块-vhdl eight decimal digital frequency meter design, top-level and digital tube scanning module
  3. 所属分类:software engineering

    • 发布日期:2017-11-25
    • 文件大小:2179
    • 提供者:zrf
  1. decoder

    0下载:
  2. vhdl语言编写的7段数码管译码器,包含了全部代码和工程图-7-segment LED decoder vhdl language contains all the code and drawing
  3. 所属分类:software engineering

    • 发布日期:2017-11-15
    • 文件大小:167047
    • 提供者:张瑞萌
  1. Digital-frequency-meter

    0下载:
  2. 用VHDL语言完成数字频率计的设计及仿真。频率测量范围:1~10KHz,分成两个频段,即1~999Hz,1KHz~10KHz,用三位数码管显示测量频率,且用LED(发光二极管)来表示所显示单位,我们这里定义亮绿灯表示以Hz为单位,亮红灯表示以KHz为单位。具有自动校验和测量两种功能。具有超量程报警功能。-Digital frequency meter
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:104119
    • 提供者:cc
  1. shu-ma-guan

    0下载:
  2. 这是一个在七段数码管上显示时,分,秒的vhdl 完整程序,希望对大家有用。-This is a seven-segment digital tube display hours, minutes, and seconds vhdl complete program, I hope useful.
  3. 所属分类:Project Manage

    • 发布日期:2017-04-03
    • 文件大小:987
    • 提供者:王玲
  1. scan_led3

    0下载:
  2. 用VHDL语言写的数码管扫描电路。压缩包中还包括多路复用器、译码器和计数器。-VHDL language used to write the digital scanning circuit. Compressed package also includes multiplexers, decoders and counters.
  3. 所属分类:Project Design

    • 发布日期:2017-04-23
    • 文件大小:499386
    • 提供者:罗克
  1. VHDL_doc

    0下载:
  2. VHDL入门的程序,包括数码管显示,交通灯的实现,多功能数字钟,数字频率计等-VHDL entry procedures, including digital display, realize traffic lights, multifunction digital clock, digital frequency meter, etc.
  3. 所属分类:software engineering

    • 发布日期:2017-04-27
    • 文件大小:155252
    • 提供者:钟绵
  1. 18b20

    0下载:
  2. ds18b20实现温度采集 数码管显示 用vhdl语言编写-Ds18b20 realize temperature acquisition digital tube display with vhdl language
  3. 所属分类:Communication

    • 发布日期:2017-12-13
    • 文件大小:2059
    • 提供者:zjcmudala
  1. ditie

    0下载:
  2. 3.通过按键7输入购票张数,并用 1 位数码管显示 4.通过按键 6、 5 输入乘坐站数, 并用 2 位数码管显示; 5.用按键 4、 3、 2 表示投入 10 元、 5 元和 1 元三种钱币,并用 3 位数码管显示; 8.系统能够复位。(Design and manufacture a simple subway ticketing system, the specific requirements are as follows:)
  3. 所属分类:系统设计方案

    • 发布日期:2017-12-19
    • 文件大小:4247552
    • 提供者:一世长安
« 12 »
搜珍网 www.dssz.com